シンタックスハイライト テスト

はてな記法シンタックスハイライト(Syntax Highlight) 機能を試してみる。

シンタックスハイライト とはソースコードをキーワードで色分けする機能

VHDLサンプル

-- VGA : output sync
LCLK	<= clk25m;	-- for VGA
LCK	<= clk25m;	-- for LCD
nLBLANK	<= '1' when 2 <= s_dis_h_count
	 and s_dis_h_count < C_DISP_WIDTH + 2
	 and s_dis_v_count < C_DISP_HEIGHT else '0';
LHSYNC	<= '0' when (C_DISP_WIDTH  + 16 + 2) <= s_dis_h_count
	 and s_dis_h_count < (C_DISP_WIDTH  + 16 + 64 + 2) else '1';
LVSYNC	<= '0' when (C_DISP_HEIGHT + 10    ) <= s_dis_v_count
	 and s_dis_v_count < (C_DISP_HEIGHT + 10 +  2    ) else '1';

はてな記法 - シンタックスハイライト

>|形式|
〜コード〜
||&lt;

形式 は、上の場合は「vhdl」で他にもphp, ruby, cpp, cs等々。
詳しくは参考サイトへ。


色が気に入らないので CSS を編集して・・・。
こんなとこだろうか。
しかし、適宜改行いれないと見にくい。
というか pre だから改行されない。
右のエリアに文字がつっこんでしまうようだ。

ページ幅は 950px ともう限界に近いのでサイドバーを狭くして記事のエリアを広げよう。