これからはじめる!Webクローリング技術

ひょんなことからWebクローラーを作ることにになったのでいろいろまとめていきたいと思います。Webクローラーとは Googleなどの検索エンジン(キーワードからリンクリストを作るサービス)や、マーケティングの情報収集などに使われる「Web上の情報を収集す…

WindowsでもVMware playerでUbuntuを動かす話(初期設定編)

続くかわからないけどシリーズ化してみる。 長い前置き 前回、Railsなプロジェクトの資産を動かそうとして一部ライブラリがWindowsで死亡状態だったのでスッパリあきらめてVMを使うことの話をしたいと思います。 世の中個人開発者には優しい時代になりました…

WindowsでRailsなアプリ実行環境でハマったこと(あきらめ)

Windows環境でRuby on Railsの実行環境を構築しているときにハマったのでその記録を。1.DevKitがちゃんとインストールされていないいれたつもりがパスが通っていなかった。 "C:\dev\RubyDevKit" 配下に展開していたので以下のPathを追加。 C:\dev\RubyDevK…

Nexus7(2012)+TWRPv2.6.0.0でJWR66V→JWR66Yにアップデートできないとき

数ヶ月間謎のままだけどようやく解決方法をみつけた。TWRP v2.6.0.0 で Nexus7 の Android 4.3 JWR66V→JWR66Y のアップデートが失敗する件について http://d.hatena.ne.jp/xr0038/touch/20130829/1377771183「Nexus7 update 4.3 twrp」とかでぐぐってもRoot…

VBAでUTF-8(BOMなし)でファイル保存する方法

VBA

VBAでUTF-8でのファイルを扱うためにADODB.Streamを使用する際に、BOMなしで保存する場合に必要な処理。 前提条件 Microsoft Office (Excel 2010) 2010以外でも同じ 処理簡略化のため参照設定で「Microsoft AxtiveX Data Object 6.1 Library」を追加している…

jQueryでAjaxしたXMLデータで.html()するとIEでエラー

jQueryで次のような処理を書くとIEでハマるお話。 前提 jQuery(1.10.1を使用) IE9 処理内容 Ajaxを用いてXMLファイルを読み込んだあと、そのXMLデータの中身を .html() で取り出す。 JavaScript var conf = null; $.ajax({{ url: "./data.xml", type: "GET…

更新再開

長らく放置していましたが情報発信の重要性に気づき、更新を再開することにしました。 整理された優良な情報を発信できるかはわかりませんが、ぐぐって調べることができるのは 様々な情報を発信している方々のおかげですので、私もそっち側の人間になりたい…

ようこそ画面でユーザーアカウントを非表示にするには

共有用のリモートアカウントなどようこそ画面に表示しなくていい場合に ユーザーアカウントを非表示にする方法 あとは管理アカウントを非表示にするとか 前提 Windows 7 手順 任意のアカウントを作成しておく(作成済みなら飛ばす) レジストリエディタ(reg…

ISE Simulatorが起動しない件

ISE Simulatorが突然起動しなくなってしまったり、インストールしたてにも関わらず起動しないことがあります。 その解決方法が中国語フォーラムにてあったので紹介します。 http://zhidao.baidu.com/question/144780548 発生する現象 テストべンチを記述し、…

Xperia用モバイルバッテリー改造計画

はじめに Xperiaを発売日に購入して以来、多少なりとも電池には悩まされてきました。 まぁそのあたりについてはかなり他所で議論になってるわけですが・・・。 常駐を減らす、使わない通信機能をカットする等々方策は練られているわけですが 結局の所、普通…

再利用しないと

いりいろ放置しすぎたのでまた活用していこう、というすぐに頓挫しそうなお話。

データが失われる可能性を防ぐため、デザイナの読み込み前に以下のエラーを解決する必要があります

C++のプロジェクトでフォームのデザイナを開いたら表題のエラーが表示されてデザインの変更ができなくなることがある。 プロジェクトのクリーンも効果なし、ってことで調べたら次の対処で暫定的に動くらしい。http://social.msdn.microsoft.com/Forums/ja-JP…

コマンドラインと電話による Windows 7 アクティベーション

Windows 7 Enterprise (x86) をインストールしたはいいけどちょっとおかしい。 自宅に入れた Windows 7 Professional(x64) は何の不調もなく動いてるんだけど・・・。症状としては アクティベーションできない Windows Update が不調 Microsoft Security Ess…

メモリ(SRAM)操作のテクニック

SRAMにカメラからの画像を書き込みつつ、ディスプレイ表示用の画像を読みだす場合。両方ともピクセルクロックは25MHzでSRAMの応答が10ns(W/R) すると50MHzで1クロック以内に処理が可能だからメモリは50MHzで動作可能。時系列でみると 50MHz - WRWRWRWR....…

tableの要素(rows,cells)のブラウザ別の扱い

DOMで表を操作するためのコード var obj; // テーブルのDOM var i; // 列(0〜) var j; // 行(0〜) var str; // 書き変える文字列 // IE obj.rows[i].cells[j].innerHTML = str; // FireFox, Safari, GoogleChrome obj.rows.item(i).cells.item(j).innerH…

液晶のドットチェック(簡易版)

ドット欠けチェッカー等のソフトはありますが、もっと手軽に。 Dot Checker with Javascript http://rezelk2.sakura.ne.jp/web/DotChecker.html ※sakura解約してしまったので公開停止中手抜きです。 書き方も適当です。 タイトルすら指定してません。 使い方…

FPGA 有用情報サイト

下記のサイトは、FPGA や マイコン といった組み込み関係の情報を掲載している。 (有名かな?) 私的メモということでついでに紹介。FPGAの部屋 http://marsee101.blog19.fc2.com/FPGAの部屋のまとめ http://marsee101.web.fc2.com/index.html

range と length と subtype

signal の指定は range と length を使うと便利。 信号の宣言 signal s_addr0 : std_logic_vector(17 downto 0); signal s_addr1 : std_logic_vector(17 downto 0); signal s_addr2 : std_logic_vector(17 downto 0); 信号の宣言(range) signal s_addr0 : …

ISE を使いやすくする

ISE を使いやすくするための方法をいくつかメモ。 設定画面等は ISE 10.1 の画面。 1. ISE エディタの背景を黒くする エディタは背景を暗くする方が好きなので 背景を黒くする方法。 Edit > Preferences > ISE Text Editor 赤い四角の部分をチェックすれば、…

シンタックスハイライト テスト

はてな記法 の シンタックスハイライト(Syntax Highlight) 機能を試してみる。※ シンタックスハイライト とはソースコードをキーワードで色分けする機能 VHDLサンプル -- VGA : output sync LCLK <= clk25m; -- for VGA LCK <= clk25m; -- for LCD nLBLANK …

クロック周りのエラー

ISE10.1より、クロックの配置配線する際に CLOCK_DEDICATED_ROUTE 制約を追加しないとエラーが出る。 クロックかどうかはたぶん論理合成ツールが rising_edge や falling_edge を使っている信号を判別してるんじゃないかと推測。 エラー内容 ERROR:Place:101…